国产毛片a精品毛-国产毛片黄片-国产毛片久久国产-国产毛片久久精品-青娱乐极品在线-青娱乐精品

Quartus II 調用ModelSim 仿真

發布時間:2010-10-9 22:13    發布者:conniede
關鍵詞: Library , modelsim , Quartus , 仿真
下面是基于在Altera Quartus II 下如何調用ModelSim 進行仿真的一個實例。

不能否認,Quartus II(我用的7.0)無法像ISE 那樣方便的直接調用ModelSim,而是需要額外的做一些工作。這確實給我們的仿真調試帶來了一些不便。特權同學也是在摸索了好久以后才徹底搞定這個問題,下面分享下,力求明白易懂,讓大家少走一些彎路。

1、 打開Quartus II,新建一個工程,工程代碼如下(只是做一個簡單的二分頻電路):

module modelsim_test(

clk,rst_n,div

);

input clk; //系統時鐘

input rst_n; //復位信號,低有效

output div; //2 分頻信號

reg div;

always @ (posedge clk or negedge rst_n)

if(!rst_n) div <= 1'b0;

else div <= ~div;

endmodule

2、 進入菜單欄的Assignments EDA Tool Settings,進入如下界面:


雙擊“EDA tools”下的“Simulation”選項,彈出如下界面:


點擊Tool name 最右側的下拉條,選擇“ModelSim”,在彈出的界面做如下設置:


3、 以上設置完成,重新編譯工程。

打開工程目錄,看到多了一個“simulation”文件夾,再打開該文件夾下的“modelsim”文件夾。看到有三個文件,其中.vo 文件就是我們的代碼布局布線信息。仿真還需要幾個文件,我們把它們都拷貝到該目錄下。

4、 打開“C:altera70quartusedasim_lib”(具體quartus 軟件安裝文件的根目錄視您的實際情況而定,大體路徑都一樣),因為我們用的是MAX II器件,所以把“maxii_atoms.v”拷貝到3 中提到的目錄下,這個文件是仿真元件庫。

5、 編寫一個工程RTL 源碼的Testbench 文件,用于作為仿真激勵(具體如何編寫清參考相關數據文檔資料)。這個實例的testbench 如下:

module vtf_test;

// Inputs

reg clk;

reg rst_n;

// Output

wire div;

modelsim_test u1(

.clk(clk),

.rst_n(rst_n),

.div(div)

);

initial begin

clk = 0;

forever

#10 clk = ~clk; //產生50MHz 的時鐘

end

initial begin

rst_n = 0;

#1000 rst_n = 1; //上電后1us 復位信號

#1000;

$stop;

end

endmodule

將該文件命名為tb_test.v,保存到3 提到的文件夾下。

6、 在wokspace 的library 面板的空白處單擊右鍵,選擇“new”→“library”


在新彈出界面中做如下設置,然后點擊“OK”。


7、 啟動ModelSim 軟件,點擊菜單欄的Compile􀃆compile 命令,彈出如下界面:


Library 選擇剛才新建的“work_test”,查找范圍定位到我們3 提到的文件夾下。全選在該目錄下可以看到的文件,點擊Compile(注意該文件夾必須在全英文/數字路徑下,否則會出錯無法編譯)。

8、 我們回到wokspace 的library 面板,work_test 多處了下拉框,點擊后如下:


我們右鍵單擊vtf_test 文件,在彈出的菜單中選擇Simulation

9、 執行上面的操作后,軟件自動彈出wokspace 的sim 面板如下:


在vtf_test 欄單擊右鍵,在彈出菜單中選擇Add􀃆Add to Wave。然后軟件將彈出波形窗口。

10、 波形窗口如下:


這時我們點擊全速編譯(一次不行,多點一次,工具欄倒數第三個按鈕),
然后點擊觀看全部波形(放大縮小按鈕后面一個藍色按鈕)。

11、 仿真結果如下:


可以看到div 信號確實是clk 的二分頻。呵呵,基本的操作就到此結束了。
本文地址:http://m.qingdxww.cn/thread-31208-1-1.html     【打印本頁】

本站部分文章為轉載或網友發布,目的在于傳遞和分享信息,并不代表本網贊同其觀點和對其真實性負責;文章版權歸原作者及原出處所有,如涉及作品內容、版權和其它問題,我們將根據著作權人的要求,第一時間更正或刪除。
您需要登錄后才可以發表評論 登錄 | 立即注冊

廠商推薦

  • Microchip視頻專區
  • Chiptorials ——使用ATECC608 TrustFLEX實現基本非對稱身份驗證
  • FPGA設計流程培訓教程
  • Chiptorials ——如何將CryptoAuthLib庫用于Microchip安全身份驗證IC
  • 無線充電基礎知識及應用培訓教程
  • 貿澤電子(Mouser)專區

相關視頻

關于我們  -  服務條款  -  使用指南  -  站點地圖  -  友情鏈接  -  聯系我們
電子工程網 © 版權所有   京ICP備16069177號 | 京公網安備11010502021702
快速回復 返回頂部 返回列表
主站蜘蛛池模板: 亚洲欧美在线不卡 | 三级网站片 | 中文字幕精品一区二区日本 | 国产三级全黄在线观看 | 日韩a毛片免费全部播放完整 | 99爱在线视频这里只有精品 | 日韩永久免费视频 | 免费成人高清在线视频 | 国产精品影视 | 免费在线观看一级毛片 | 99久久精彩视频 | 日本中文字幕视频 | 九九精品视频在线观看九九 | 国产在线色 | 九九热在线免费 | 日韩欧美高清在线观看 | 欧美日韩国产高清视频 | 日韩免费网址 | 无码h肉动漫在线观看 | 免费视频专区一国产盗摄 | 国产一区二区三区成人久久片 | 成人久久久久 | 欧美日韩在线视频专区免费 | 日日爱视频 | 日本高清免费xxx在线观看 | 久久福利影院 | 男人色综合 | 最近免费观看高清韩国日本大全 | 国产精品久久久久免费 | 国产精品久久福利网站 | 一级毛片免费观看不卡的 | 最近2019中文字幕最新 | 99热这里只有精品国产99 | 在线青草 | 国产2| 四虎tv在线观看884aa | 天天色天天色天天色 | 一区毛片 | 久热这里 | 日韩激情视频网站 | 欧美第九页 |