国产毛片a精品毛-国产毛片黄片-国产毛片久久国产-国产毛片久久精品-青娱乐极品在线-青娱乐精品

查看: 5343|回復: 2
打印 上一主題 下一主題

[提問] 求助:程序不受按鍵控制

[復制鏈接]
跳轉到指定樓層
樓主
發表于 2012-9-17 15:56:37 | 只看該作者 |只看大圖 回帖獎勵 |倒序瀏覽 |閱讀模式
關鍵詞: 按鍵 , 分頻
各位大俠,我遍了個程序,達到的目標是:第一部分將輸入的1KHz分頻為1Hz;第二部分是通過按鍵按一下,將輸入的1KHz變為250Hz,再按下,變為125Hz。
Library IEEE;
Use IEEE.Std_logic_1164.all;
Use IEEE.Std_logic_unsigned.all;
Entity yh is
  Port(xh: in std_logic;
      clk:out std_logic);
End yh;
Architecture fun of yh is
  Signal clk_1s: Std_logic;
Begin
  process(xh)
    variable count:Std_logic_vector(9 downto 0);
  Begin
      wait on xh until xh='1';
      count:=count+1;
      clk_1s<=count(9);
   end process;()
clk<=clk_1s;
end fun;(這是第一部分)

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
Entity fp is
   port( xh:in std_logic;
         ds:in std_logic;
         clk:in std_logic;
         sc:out std_logic);
End fp;
Architecture fenpin of fp is
  Signal data:std_logic_vector(2 downto 0);
  Signal cnt:integer range 0 to 2;
  Signal zhja:std_logic;
  begin
    zhja<=NOT((ds or clk));
    yi:process(xh)
      begin
        if(xh'event and xh='1') then
          data<=data+1;
        end if;
     end process;
   er:process(zhja)
     begin
       if(zhja='1') then
         if(cnt=2) then cnt<=0;
         else  cnt<=cnt+1;
         end if;
       end if;
     end process;
  san:process(cnt,data)
     begin
       case cnt is
       when 0=>sc<=data(1);
       when 1=>sc<=data(2);
       when others=>NULL;
       end case;
     end process;
end fenpin;(這是第二部分)
.gcf文件上傳至附件中。第一部分與第二部分中的xh是同一個輸入信號1KHz,第二部分中輸入clk就是第一部分中輸出clk,第二部分中ds就是按鍵(不按高電平,按下低電平)。程序運行后,sc輸出125Hz,過一秒后變為250Hz,再過一秒變為125Hz.....就是說不受按鍵的控制。這是為什么?請各位大俠指點。謝謝!

tu.bmp (733.55 KB)

tu.bmp
沙發
發表于 2012-9-18 09:25:40 | 只看該作者
時鐘要取沿!
板凳
發表于 2012-9-29 21:05:51 | 只看該作者
頂一個
您需要登錄后才可以回帖 登錄 | 立即注冊

本版積分規則

關于我們  -  服務條款  -  使用指南  -  站點地圖  -  友情鏈接  -  聯系我們
電子工程網 © 版權所有   京ICP備16069177號 | 京公網安備11010502021702
快速回復 返回頂部 返回列表
主站蜘蛛池模板: 亚洲最大网址| 亚欧三级| 日韩在线观看中文字幕| 色国产精品| 亚洲国产一区二区三区| 亚洲成人第一页| 亚洲国产高清精品线久久| 亚洲成a| 热线视频在线观看| 亚洲另类视频在线观看| 一本久道热中字伊人| 一级免费视频| 日韩video| 视频在线一区二区| 色婷婷久久免费网站| 亚洲欧美日韩v中文在线| 特级做a爰片毛片免费看一区| 亚洲第一综合色| 天天操天天舔| 亚洲国产欧美精品一区二区三区| 亚洲不卡在线| 日本精品在线观看视频| 亚洲国产成人久久综合区| 人人添人人澡人人澡人人人人| 亚洲国产精品福利片在线观看| 日本3级网站| 午夜精品视频在线观看| 亚洲一级黄色毛片| 香蕉伊大在线中字色中文| 亚洲国产精品福利片在线观看 | 日韩欧美一区在线观看| 欧美色图亚洲| 深夜a级毛片| 亚洲精品自拍视频| 婷婷91| 色片免费观看| 欧美在线91| 亚洲最新在线| 欧美一区二区三区在线播放 | 午夜专区| 亚洲人成自拍网站在线观看忄|