国产毛片a精品毛-国产毛片黄片-国产毛片久久国产-国产毛片久久精品-青娱乐极品在线-青娱乐精品

雙目視覺處理系統開發實例-基于米爾安路國產DR1M90開發板

發布時間:2025-2-21 14:15    發布者:swiftman
1. 系統架構解析

本系統基于米爾MYC-YM90X構建,基于安路飛龍DR1M90處理器,搭載安路DR1 FPGA SOC 創新型異構計算平臺,充分發揮其雙核Cortex-A35處理器與可編程邏輯(PL)單元的協同優勢。通過AXI4-Stream總線構建的高速數據通道(峰值帶寬可達12.8GB/s),實現ARM與FPGA間的納秒級(ns)延遲交互,較傳統方案提升了3倍的傳輸效率,極大地提升了系統整體性能。


國產化技術亮點
  • 全自主AXI互連架構,支持多主多從拓撲,確保系統靈活性與可擴展性
  • 硬核處理器與PL單元共享DDR3控制器,提高內存帶寬利用率(可升級至DDR4)
  • 動態時鐘域隔離技術(DCIT),確保跨時鐘域的數據交互穩定性,避免時序錯誤
  • 國產SM4加密引擎硬件加速模塊,為數據加密任務提供硬件級別的支持,提升加密處理效率


圖一 系統架構框圖

如圖一所示,系統架構通過“低內聚,高耦合”的設計思想,通過模塊化的設計方式,完成了以下工作。

1.     通過I²C對OV5640攝像頭進行分辨率,輸出格式等配置。

2.     雙目圖像數據進行三級幀緩存,FIFO——DMA——DDR。

3.     客制化低延遲ISP(開發者根據場景需求加入)

4.     VTC驅動HDMI輸出顯示


2. 系統程序開發
2.1 DR1固件架構設計

GUI設計界面,類Blockdesign設計方式,通過AXI總線,連接DR1的ARM核與定制化外設,包括以太網,RAM模塊,PL DMA和VTC。

圖二 FPGA底層架構框圖


2.2 雙目視覺處理流水線
2.2.1 傳感器配置層

為實現高效的傳感器配置,本系統采用混合式I²C配置引擎,通過PL端硬件I²C控制器實現傳感器參數的動態加載。與純軟件方案相比,該硬件加速的配置速度提升了8倍,顯著降低了配置延遲。

  1. // 可重配置傳感器驅動IP  
  2. module ov5640_config (  
  3. input wire clk_50M,  
  4. output tri scl,  
  5. inout tri sda,  
  6. input wire [7:0] reg_addr,  
  7. input wire [15:0] reg_data,  
  8. output reg config_done  
  9. );  
  10. // 支持動態分辨率切換(1920x1080@30fps ↔ 1280x720@60fps)  
  11. parameter [15:0] RESOLUTION_TABLE[4] = '{...};
復制代碼

該配置引擎支持多分辨率與高幀率動態切換,適應不同應用場景需求。


2.2.2 數據采集管道

系統構建了三級緩存體系,確保數據處理的高效性和實時性:

  • 像素級緩存:采用雙時鐘FIFO(寫時鐘74.25MHz,讀時鐘100MHz),實現數據的穩定緩存和傳輸。
  • 行緩沖:使用BRAM的乒乓結構(每行1920像素×16bit),減少數據延遲。
  • 幀緩存:通過DDR3-1066 1GB內存支持四幀循環存儲,確保圖像的持續流暢展示。
  1. // 位寬轉換智能適配器  
  2. module data_width_converter #(  
  3. parameter IN_WIDTH = 16,  
  4. parameter OUT_WIDTH = 96  
  5. )(  
  6. input wire [IN_WIDTH-1:0] din,  
  7. output wire [OUT_WIDTH-1:0] dout,  
  8. // 時鐘與使能信號  
  9. );  
  10. // 采用流水線式位寬重組技術  
  11. always_ff @(posedge clk) begin  
  12. case(state)  
  13. 0: buffer <= {din, 80'b0};  
  14. 1: buffer <= {buffer[79:0], din};  
  15. // ...6周期完成96bit組裝  
  16. endcase  
  17. end
復制代碼

2.2.3. 異構計算調度

系統通過AXI-DMA(Direct Memory Access)實現零拷貝數據傳輸,優化內存和外設間的數據交換:

  • 寫通道:PL→DDR,采用突發長度128、位寬128bit的高速數據傳輸
  • 讀通道:DDR→HDMI,配合動態帶寬分配(QoS等級可調),確保不同帶寬需求的動態適配

2.2.4 VTC顯示引擎深度優化
  • PL DMA輸出顯示優化
  • 顯示時序的優化對高質量圖像輸出至關重要。通過VTC(Video Timing Controller),本系統能夠實現多模式自適應輸出。
  1. axi_hdmi_tx#(  
  2. .ID(0),  
  3. .CR_CB_N(0),  
  4. .DEVICE_TYPE(17), // 17 for DR1M  
  5. .INTERFACE("16_BIT"),  
  6. .OUT_CLK_POLARITY (0)  
  7. )  
  8. axi_hdmi_tx_inst (  
  9. .hdmi_clk (pll_clk_150),  
  10. //.hdmi_clk (clk1_out),  
  11. .hdmi_out_clk (hdmi_clk ),  
  12. .hdmi_16_hsync (hdmi_hs ),  
  13. .hdmi_16_vsync (hdmi_vs ),  
  14. .hdmi_16_data_e (hdmi_de),  
  15. .hdmi_16_data (/*hdmi_data*/ ),  
  16. // .hdmi_16_data (hdmi_data ),  
  17. .hdmi_16_es_data (hdmi_data),  
  18. .hdmi_24_hsync (),  
  19. .hdmi_24_vsync (),  
  20. .hdmi_24_data_e (),  
  21. .hdmi_24_data (/*{r_data,g_data,b_data}*/),  
  22. .hdmi_36_hsync (),  
  23. .hdmi_36_vsync (),  
  24. .hdmi_36_data_e (),  
  25. .hdmi_36_data (),  
  26. .vdma_clk (pll_clk_150 ),  
  27. .vdma_end_of_frame (dma_m_axis_last ),  
  28. .vdma_valid (dma_m_axis_valid ),  
  29. .vdma_data (dma_m_axis_data ),  
  30. .vdma_ready (dma_m_axis_ready),  
  31. .s_axi_aclk (S_AXI_ACLK ),  
  32. .s_axi_aresetn (S_AXI_ARESETN ),  
  33. .s_axi_awvalid (axi_ds5_ds5_awvalid ),  
  34. .s_axi_awaddr (axi_ds5_ds5_awaddr ),  
  35. .s_axi_awprot (axi_ds5_ds5_awprot ),  
  36. .s_axi_awready (axi_ds5_ds5_awready ),  
  37. .s_axi_wvalid (axi_ds5_ds5_wvalid ),  
  38. .s_axi_wdata (axi_ds5_ds5_wdata ),  
  39. .s_axi_wstrb (axi_ds5_ds5_wstrb ),  
  40. .s_axi_wready (axi_ds5_ds5_wready ),  
  41. .s_axi_bvalid (axi_ds5_ds5_bvalid ),  
  42. .s_axi_bresp (axi_ds5_ds5_bresp ),  
  43. .s_axi_bready (axi_ds5_ds5_bready ),  
  44. .s_axi_arvalid (axi_ds5_ds5_arvalid ),  
  45. .s_axi_araddr (axi_ds5_ds5_araddr ),  
  46. .s_axi_arprot (axi_ds5_ds5_arprot ),  
  47. .s_axi_arready (axi_ds5_ds5_arready ),  
  48. .s_axi_rvalid (axi_ds5_ds5_rvalid ),  
  49. .s_axi_rresp (axi_ds5_ds5_rresp ),  
  50. .s_axi_rdata (axi_ds5_ds5_rdata ),  
  51. .s_axi_rready (axi_ds5_ds5_rready)  
  52. );
復制代碼

  • 動態時序生成器
  • 通過PL-PLL動態調整像素時鐘,確保顯示無卡頓、無閃爍,誤差控制在<10ppm內。
  1. // VTC配置代碼片段(Anlogic SDK)  
  2. void config_vtc(uint32_t h_total, uint32_t v_total) {  
  3. VTCRegs->CTRL = 0x1; // 使能軟復位  
  4. VTCRegs->HTOTAL = h_total - 1;  
  5. VTCRegs->VTOTAL = v_total - 1;  
  6. // 詳細時序參數配置  
  7. VTCRegs->POLARITY = 0x3; // HS/VS極性配置  
  8. VTCRegs->CTRL = 0x81; // 使能模塊  
  9. }
復制代碼

3. 硬件連接與測試
  • 硬件連接

米爾的安路飛龍板卡采用2 X 50 PIN 連接器設計,可靈活插拔多種子卡,配合子卡套件,可擴展成多種形態,多種應用玩法。

圖三 使用模組,底板,子卡和線纜搭建硬件系統(使用米爾基于安路飛龍DR1M90開發板)


  • 顯示測試

實測雙目顯示清晰,無卡幀,閃屏。

圖四 輸出顯示效果


  • 系統集成
  • 在FPGA硬件描述文件的基礎上,進一步在Linux下實現雙攝,為復雜系統調度應用鋪平道路。
  • 內核加載5640驅動下通過dma搬運ddr數據,在應用層中通過v4l2框架顯示到HDMI上,完整數據流如下:
  • FPGA DDR → AXI-DMA控制器 → Linux DMA引擎 → 內核dma_buf  → V4L2 vb2隊列 → mmap用戶空間 → 應用處理

三路DMA設備樹HDMI、camera1、camera2代碼片段:

  1. //hdmi  
  2. soft_adi_dma0: dma@80400000 {  
  3. compatible = "adi,axi-dmac-1.00.a";  
  4. reg = <0x0 0x80400000 0x0 0x10000>;  
  5. interrupts = ;  
  6. clocks = <&axi_dma_clk>;  
  7. #dma-cells = <1>;  
  8. status = "okay";  

  9. adi,channels {  
  10. #size-cells = <0>;  
  11. #address-cells = <1>;  

  12. dma-channel@0 {  
  13. reg = <0>;  
  14. adi,source-bus-width = <32>;  
  15. adi,source-bus-type = <0>;  
  16. adi,destination-bus-width = <64>;  
  17. adi,destination-bus-type = <1>;  
  18. };  
  19. };  
  20. };  
  21. // cam1  
  22. mipi_adi_dma0: dma@80300000 {  
  23. compatible = "adi,axi-dmac-1.00.a";  
  24. reg = <0x0 0x80300000 0x0 0x10000>;  
  25. interrupts = ;  
  26. clocks = <&axi_dma_clk>;  
  27. #dma-cells = <1>;  
  28. status = "okay";  

  29. adi,channels {  
  30. #size-cells = <0>;  
  31. #address-cells = <1>;  

  32. dma-channel@0 {  
  33. reg = <0>;  
  34. adi,source-bus-width = <128>;  
  35. adi,source-bus-type = <1>;  
  36. adi,destination-bus-width = <64>;  
  37. adi,destination-bus-type = <0>;  
  38. };  
  39. };  
  40. };  
  41. //cam2  
  42. mipi_adi_dma1: dma@80700000 {  
  43. compatible = "adi,axi-dmac-1.00.a";  
  44. reg = <0x0 0x80700000 0x0 0x10000>;  
  45. interrupts = ;  
  46. clocks = <&axi_dma_clk>;  
  47. #dma-cells = <1>;  
  48. status = "okay";  

  49. adi,channels {  
  50. #size-cells = <0>;  
  51. #address-cells = <1>;  

  52. dma-channel@0 {  
  53. reg = <0>;  
  54. adi,source-bus-width = <128>;  
  55. adi,source-bus-type = <1>;  
  56. adi,destination-bus-width = <32>;  
  57. adi,destination-bus-type = <0>;  
  58. };  
  59. };  
  60. };
復制代碼

雙路i2c OV5640設備樹配置代碼片段


  1. camera@3c {  
  2.               compatible = "ovti,ov5640";  
  3.               pinctrl-names = "default";  
  4.             //   pinctrl-0 = <&pinctrl_ov5640>;  
  5.               reg = <0x3c>;  
  6.               clocks = <&ov5640_clk>;  
  7.               clock-names = "xclk";  
  8.             //   DOVDD-supply = <&vgen4_reg>; /* 1.8v */  
  9.             //   AVDD-supply = <&vgen3_reg>;  /* 2.8v */  
  10.             //   DVDD-supply = <&vgen2_reg>;  /* 1.5v */  
  11.             powerdown-gpios = <&portc 8 GPIO_ACTIVE_HIGH>;  
  12.             reset-gpios = <&portc 7 GPIO_ACTIVE_LOW>;  
  13.               port {  
  14.                   /* Parallel bus endpoint */  
  15.                   ov5640_out_0: endpoint {  
  16.                       remote-endpoint = <&vcap_ov5640_in_0>;  
  17.                       bus-width = <8>;  
  18.                       data-shift = <2>; /* lines 9:2 are used */  
  19.                       hsync-active = <0>;  
  20.                       vsync-active = <0>;  
  21.                       pclk-sample = <1>;  
  22.                   };  
  23.               };  
  24.           };
復制代碼


  • 性能測試

性能實測數據。

指標

實測值

理論峰值

圖像處理延遲

18.7ms

≤20ms

DDR吞吐量

2GB/s

2.6GB/s

功耗(全負載)

3.8W

4.2W

啟動時間(Linux)

18s

-



4. 場景化應用擴展

該方案可廣泛應用于以下領域:

  • 智能駕駛:前視ADAS系統,包含車道識別和碰撞預警
  • 工業檢測:高速AOI(自動光學檢測)流水線,提升檢測精度和效率
  • 醫療影像:內窺鏡實時增強顯示,支持多視角成像
  • 機器人導航:SLAM(同步定位與地圖構建)點云加速處理,提升機器人自主導航能力

通過安路TD 2024.10開發套件,開發者能夠快速移植和定制化開發,具體包括:

  • 使用GUI圖形化設計約束工具,簡化硬件開發過程
  • 調用預置的接口與處理器IP,加速產品開發上市時間,專注應用和算法的處理
  • 進行動態功耗分析(DPA)與仿真,確保系統的穩定性與高效性

0. One More Thing…

這里,回到我們原點,回到我們開發設計國產 FPGA SOC的初衷 ,芯片也好,模組也好,都只是開始,無論是FPGA,SOC,或者SOM,都是為了以更快,更好,平衡成本,體積,開發周期,開發難度,人員配置等等綜合因素,做出的面向解決問題的選擇,最終結果是降低成本和產品力的平衡。

安路飛龍系列的問世,讓我們很欣喜看見國產SOC FPGA的崛起,希望和業界開發者一起開發構建國產SOC FPGA生態,所以選擇將系列教程以知識庫全部開源,共同無限進步!

米爾可能只是其中非常非常小的一個數據集,但會盡力撬動更大貢獻。



本文地址:http://m.qingdxww.cn/thread-882258-1-1.html     【打印本頁】

本站部分文章為轉載或網友發布,目的在于傳遞和分享信息,并不代表本網贊同其觀點和對其真實性負責;文章版權歸原作者及原出處所有,如涉及作品內容、版權和其它問題,我們將根據著作權人的要求,第一時間更正或刪除。
您需要登錄后才可以發表評論 登錄 | 立即注冊

廠商推薦

  • Microchip視頻專區
  • 利用SAM E54 Xplained Pro評估工具包演示CAN轉USB橋接器以及基于CAN的主機和自舉程序應用程序
  • 使用SAM-IoT Wx v2開發板演示AWS IoT Core應用程序
  • 使用Harmony3加速TCP/IP應用的開發培訓教程
  • 集成高級模擬外設的PIC18F-Q71家族介紹培訓教程
  • 貿澤電子(Mouser)專區

相關視頻

關于我們  -  服務條款  -  使用指南  -  站點地圖  -  友情鏈接  -  聯系我們
電子工程網 © 版權所有   京ICP備16069177號 | 京公網安備11010502021702
快速回復 返回頂部 返回列表
主站蜘蛛池模板: 色爽交视频 | 亚洲se网 | 久久影院午夜伦手机不四虎卡 | 一级毛片aaaaaa视频免费看 | 黄色高清视频在线观看 | 99久久国内精品成人免费 | 亚洲日韩天堂 | 亚洲综合在线网 | 免费在线观看日本 | 午夜影院在线观看 | 黄色成人影视 | 国产成人性色视频 | 99久久精品免费看国产交换 | 欧美亚洲视频 | 91麻豆国产免费 | 厚颜无耻韩国动漫免费观看5 | 国产色综合天天综合网 | 国产精品三 | 99在线视频网站 | 香蕉国产在线 | 日韩a一级欧美一级在线播放 | 中文字幕一区二区三区在线播放 | 一区二区中文字幕 | 日韩毛片在线免费观看 | 黄色免费的网站 | 国产福利免费在线观看 | 国产一区二区三区在线观看精品 | 国产极品美女在线观看 | 国产1区2区 | 日本在线观看免费观看完整版 | 精品小视频在线 | www.五月天婷婷 | 天堂在线最新版资源www | 国产成人欧美一区二区三区的 | 欧美日韩国产超高清免费看片 | 花季传媒v3.037 | 在线观看免费精品国产 | 天天综合天天综合 | 91在线免费视频观看 | 国产成人精品日本亚洲语言 | 国模肉肉大尺度啪啪人体150p |