国产毛片a精品毛-国产毛片黄片-国产毛片久久国产-国产毛片久久精品-青娱乐极品在线-青娱乐精品

查看: 5089|回復: 1
打印 上一主題 下一主題

[提問] 為何除法器IP內核仿真總是高阻狀態

[復制鏈接]
跳轉到指定樓層
樓主
發表于 2011-5-16 21:01:09 | 只看該作者 |只看大圖 回帖獎勵 |倒序瀏覽 |閱讀模式
10積分
我剛開始玩FPGA,發現不能直接使用除法,需要使用除法內核(暫時沒考慮自己別寫除法程序)。
我嘗試了IP內核中的Math Functions--dividers, 里面有2個IP核,我都試過了,仿真的時候輸出總是高阻狀態。
我使用的是Xilinx Spatan3, ISE10.1, Verilog語言,采用自帶的ISE Simulator仿真器, 使用編寫Verilog Test Fixture的方法仿真。
例如,我利用divider generator V1.0生成my_div模塊,并實例化,程序如下:

module div(clk, ce, dividend, divisor, quotient, remainder);
    input clk;
    input ce;
    input [7:0] dividend;
    input [7:0] divisor;
    output [7:0] quotient;
    output [7:0] remainder;

    my_div test(
    .clk(clk),
    .ce(ce),
    .aclr(1'b0),
    .sclr(1'b0),
    .dividend(dividend),
    .divisor(divisor),
    .quotient(quotient),
    .remainder(remainder),
    .rfd());

endmodule

然后仿真程序如下:
module test;
     // Inputs
     reg clk;
     reg ce;
     reg [7:0] dividend;
     reg [7:0] divisor;
     // Outputs
     wire [7:0] quotient;
     wire [7:0] remainder;

     // Instantiate the Unit Under Test (UUT)
    div uut (
    .clk(clk),
    .dividend(dividend),
    .divisor(divisor),
    .quotient(quotient),
    .remainder(remainder)
     );

   initial begin
   forever #10 clk = ~clk;
   end

   initial begin
   // Initialize Inputs
  clk = 0;
  ce = 0;
  dividend = 0;
  divisor = 0;
  // Wait 100 ns for global reset to finish
  #100;
  dividend = 100;
  divisor = 12;
  #10;
  ce = 1;
  #500 $stop;
      end
  // Add stimulus here
endmodule

但是進入仿真后,quotient與remainder輸出總是高電平(見圖片),試過好多次了都是這樣。
請問大家遇到過這種情況沒?
求解原因!謝謝!

1.jpg (74.32 KB)

1.jpg
沙發
 樓主| 發表于 2011-5-16 21:18:07 | 只看該作者
對了,是試過其他一些IP核的仿真,都沒有問題
您需要登錄后才可以回帖 登錄 | 立即注冊

本版積分規則

關于我們  -  服務條款  -  使用指南  -  站點地圖  -  友情鏈接  -  聯系我們
電子工程網 © 版權所有   京ICP備16069177號 | 京公網安備11010502021702
快速回復 返回頂部 返回列表
主站蜘蛛池模板: eeuss免费快捷 | aaa毛片手机在线现看 | 成品人app软件大全下载免费 | 97视频在线观看播放 | 天天视频色 | 久久久久成人精品免费播放动漫 | 麻豆porn | 国产黄网站在线观看 | 日本欧美亚洲 | 成人三级在线 | 欧美成人动漫在线观看 | 香蕉视频网站入口 | 羞羞色男人的天堂伊人久久 | 99热这里只有精品久久免费 | 99九九精品免费视频观看 | 国产精品久久久久国产精品三级 | 在线成人免费看大片 | 欧美视频 亚洲视频 | 四虎国产一区 | 大蕉香蕉国产在线视频 | 国产精品探花一区在线观看 | 国产区香蕉精品系列在线观看不卡 | 久草中文在线视频 | 亚洲女人18毛片水真多 | 亚洲成网站 | 精品日韩一区 | 久久www免费人成看国产片 | 成人国产三级精品 | 日韩成人在线免费视频 | 极品福利视频 | 欧美视频在线观看一区二区 | 99精品热| 日韩成人免费在线 | 老师让我她我爽了好久老 | 久久综合操 | 日韩免费网站 | 亚洲在成人网在线看 | 欧美区一区二 | 很狠操| 亚洲一级片免费 | 99在线影院|