国产毛片a精品毛-国产毛片黄片-国产毛片久久国产-国产毛片久久精品-青娱乐极品在线-青娱乐精品

Xilinx FPGA開發環境的配置

發布時間:2010-12-20 20:49    發布者:conniede
關鍵詞: FPGA , synplify , Xilinx
1、編譯仿真

A、先將Modelsim安裝目錄C=Modeltech_6.2b下面的modelsim.ini改成存檔格式(取消只讀模式);

B、在DOS環境中,進入Xilinx的根目錄,然后依次進入bin,nt目錄;

C、運行compxlib -s mti_se -f all -l all -o C:Modeltech_6.2bxilinx_libs。

注意:需要根據你安裝的modelsim目錄更改C:Modeltech_6.2b

然后就Ok了,就可以的ISE中啟動Modelsim進行所有的仿真了。

2、如何在Xilinx ISE中使用Modelsim ISE,Synplify進行綜合和仿真

A、打開Xilinx ISE,新建一個Project

①、在菜單File中選擇“New Project”,彈出如下的對話框:


②、輸入Project名稱,并選擇好Project保存的路徑,然后下一步:


按照上邊的參數進行設置(針對于Spatan 3E的開發板),然后單擊下一步,進入到后面的界面:


③、單擊“New Source”按鈕,并按照下面的設置來操作:


④、參照下面的參數,進行設置,然后一直選擇默認選項,一直到完成。


最后生成的項目界面如下圖所示:


B、輸入代碼,然后用Synplify綜合

①、參考代碼:

entity Count iS

Port(CLK :in STD_LOGIC;

RESET :in STD_LOGIC;

LOAD :in STD_LOGIC;

DATA IN:in STD_LOGIC_VECTOR(3 downto 0);

Qout :out STD_LOGIC_VECTOR(3 downto 0));

end Count;

architecture Behavioral of Count is

signal tmpCount:STD_LOGIC_VECTOR(3 downto 0);

begin

process(CLK,RESET,LOAD)

begin

if RESET='1' then

tmpCount<="0000";

else

if LOAD='1' then

tmpCount<=DATA_IN;

elsif CLK'event and CLK='1' then

tmpCount<=tmpCount+1;

end if;

end if;

end process;

Qout<=tmpCount:

end Behavioral;

②、雙擊Processes窗口里面的“Synthesize-Synplify”進行綜合


③、在“Transcript”窗口中的可以看到綜合的信息。

C、時序仿真

①、從菜單“Project”中選擇“New source”。按照下圖所示輸入,然后選擇下一步


②、在“Associate source”選擇需要進行時序仿真的HDL代碼,然后選擇下一步


③、在彈出的窗口“Initial Timing and Clock Wizard-Initialize Timing”中選擇默認的配置


④、當出現如下的界面后,你就可以進行時序仿真了。


⑤、在Sources選擇Behavioral Simulation,在Processes的ModelsimSimulator中雙擊下面的任何一個都可以進行仿真。區別就是一個在XilinxISE中進行,一個則在ModelSim界面中。


⑥、下圖是雙擊“Ge nerate Expected Simulation Results”的效果


⑦、下圖是雙擊“Simulate Behavioral Model”的效果

本文地址:http://m.qingdxww.cn/thread-47324-1-1.html     【打印本頁】

本站部分文章為轉載或網友發布,目的在于傳遞和分享信息,并不代表本網贊同其觀點和對其真實性負責;文章版權歸原作者及原出處所有,如涉及作品內容、版權和其它問題,我們將根據著作權人的要求,第一時間更正或刪除。
您需要登錄后才可以發表評論 登錄 | 立即注冊

廠商推薦

  • Microchip視頻專區
  • 基于CEC1712實現的處理器SPI FLASH固件安全彈性方案培訓教程
  • 了解一下Microchip強大的PIC18-Q24 MCU系列
  • 想要避免發生災難,就用MPLAB® SiC電源仿真器!
  • 5分鐘詳解定時器/計數器E和波形擴展!
  • 貿澤電子(Mouser)專區

相關視頻

關于我們  -  服務條款  -  使用指南  -  站點地圖  -  友情鏈接  -  聯系我們
電子工程網 © 版權所有   京ICP備16069177號 | 京公網安備11010502021702
快速回復 返回頂部 返回列表
主站蜘蛛池模板: 午夜aaaa| 字幕网在线观看 | 香蕉大伊亚洲人在线观看 | 99在线免费观看视频 | 久久精品国产只有精品66 | 日本高清在线观看天码888 | 亚洲国产精品欧美日韩一区二区 | 欧美色成人tv在线播放 | 国产亚洲成在线播放va | 男人的天堂va | 中文国产成人精品久久下载 | 99热这里只有精品在线观看 | 国产福利二区 | 岛国在线播放v片免费 | 国产精品每日更新在线观看 | 手机在线观看亚洲国产精品 | 91精品欧美一区二区综合在线 | 麻豆md传媒新剧国产在线 | 污网站导航 | 免费人成在线观看网站品爱网日本 | 1204曰韩欧美成人看片 | 精品国产网 | 欧美精品亚洲二区 | 天天操夜夜操视频 | 中文字幕手机在线播放 | 20款禁用软件app下载 | 99视频免费高清完整版 | 亚洲人成在线免费观看 | 久久精品亚洲牛牛影视 | 中文字幕在线一区二区在线 | 99热最新 | 偷偷碰偷偷鲁免费视频 | 国产高清一区二区三区视频 | 成人在线播放网站 | 亚洲综合激情六月婷婷在线观看 | 4虎影视国产在线观看精品 4虎成人 | 视频一区在线播放 | 手机看日韩 | 精品区卡一卡2卡三免费 | 性感五月天 | 成人中文字幕在线观看 |