国产毛片a精品毛-国产毛片黄片-国产毛片久久国产-国产毛片久久精品-青娱乐极品在线-青娱乐精品

查看: 5405|回復(fù): 9
打印 上一主題 下一主題

[提問] 用VHDL語言編寫程序

[復(fù)制鏈接]
跳轉(zhuǎn)到指定樓層
樓主
發(fā)表于 2012-11-28 13:07:13 | 只看該作者 |只看大圖 回帖獎(jiǎng)勵(lì) |倒序?yàn)g覽 |閱讀模式
交通燈控制器
系統(tǒng)設(shè)計(jì)要求:
在十字路口,東西南北各有一組紅、黃、綠燈,其控制功能:

1)復(fù)位狀態(tài)東西南北黃燈亮。

(2)東西兩側(cè)紅燈亮,南北兩側(cè)綠燈亮
  (3)東西兩側(cè)紅燈亮,南北兩側(cè)黃燈亮(準(zhǔn)備變紅燈)。


4)東西兩側(cè)綠燈亮,南北兩側(cè)紅燈亮。

5)東西兩側(cè)黃燈亮(準(zhǔn)備變紅燈),南北兩側(cè)紅燈亮。
沙發(fā)
發(fā)表于 2012-11-29 16:39:04 | 只看該作者
這個(gè)我還真的是不懂的
板凳
發(fā)表于 2012-11-30 10:02:14 | 只看該作者
這是一個(gè)狀態(tài)機(jī)問題,4狀態(tài)輸入,12狀態(tài)輸出。
地板
發(fā)表于 2012-11-30 10:28:21 | 只看該作者
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use ieee.numeric_std.all;

entity CrossLED is
   Port (
      nRST:    in    std_logic;                    -- 系統(tǒng)復(fù)位控制信號(hào),低電平有效。
--
--                各信號(hào)下標(biāo)3、2、1、0對應(yīng)方向東、南、西、北。
--                輸出0,對應(yīng)信號(hào)燈亮;輸出1,對應(yīng)信號(hào)燈滅。
--
                State:        in                std_logic_vector(1 downto 0);        --
                Yellow:        out        std_logic_vector(3 downto 0);
                Red:                out        std_logic_vector(3 downto 0);
                Blue:                out        std_logic_vector(3 downto 0)
   );
end CrossLED;

architecture Behavioral of CrossLED is
begin
        Yellow        <= "0000"                when nRST  = '0'  else        -- 復(fù)位狀態(tài)東西南北黃燈亮。
                                   "1111"                when State = "00" else        -- 東西兩側(cè)紅燈亮,南北兩側(cè)綠燈亮。
                                   "1010"                when State = "01" else        -- 東西兩側(cè)紅燈亮,南北兩側(cè)黃燈亮(準(zhǔn)備變紅燈)。
                                   "1111"                when State = "10" else        -- 東西兩側(cè)綠燈亮,南北兩側(cè)紅燈亮。
                                   "0101"                when State = "11" else        -- 東西兩側(cè)黃燈亮(準(zhǔn)備變紅燈),南北兩側(cè)紅燈亮。
                                   "0000";
        Red                <= "1111"                when nRST  = '0'  else        -- 復(fù)位狀態(tài)東西南北黃燈亮。
                                   "0101"                when State = "00" else        -- 東西兩側(cè)紅燈亮,南北兩側(cè)綠燈亮。
                                   "0101"                when State = "01" else        -- 東西兩側(cè)紅燈亮,南北兩側(cè)黃燈亮(準(zhǔn)備變紅燈)。
                                   "1010"                when State = "10" else        -- 東西兩側(cè)綠燈亮,南北兩側(cè)紅燈亮。
                                   "1010"                when State = "11" else        -- 東西兩側(cè)黃燈亮(準(zhǔn)備變紅燈),南北兩側(cè)紅燈亮。
                                   "1111";
        Blue                <= "1111"                when nRST  = '0'  else        -- 復(fù)位狀態(tài)東西南北黃燈亮。
                                   "1010"                when State = "00" else        -- 東西兩側(cè)紅燈亮,南北兩側(cè)綠燈亮。
                                   "1111"                when State = "01" else        -- 東西兩側(cè)紅燈亮,南北兩側(cè)黃燈亮(準(zhǔn)備變紅燈)。
                                   "0101"                when State = "10" else        -- 東西兩側(cè)綠燈亮,南北兩側(cè)紅燈亮。
                                   "1111"                when State = "11" else        -- 東西兩側(cè)黃燈亮(準(zhǔn)備變紅燈),南北兩側(cè)紅燈亮。
                                   "1111";
end Behavioral;
地下室
 樓主| 發(fā)表于 2012-12-2 14:52:07 | 只看該作者
謝謝大家嘍!
6
發(fā)表于 2012-12-4 23:46:28 | 只看該作者
student homework?
7
發(fā)表于 2012-12-17 20:27:56 | 只看該作者
寫了一個(gè)verilog版,僅供樓主參考.
module traffic_led(rst_n, clk, r1, y1, g1, r2, y2,g2);
input rst_n, clk;
output  r1, y1, g1, r2, y2,g2;
reg r1, y1, g1, r2, y2,g2;
reg [4:0] state, nextstate;

parameter  s0 = 5'b00001, s1 = 5'b00010, s2 = 5'b00100, s3 = 5'b01000, s4 = 5'b10000;

always @(posedge clk or negedge rst_n)
begin
    if(rst_n == 0)
         begin  
           state <= s0;
         end
         else
         begin
           state <= nextstate;
         end
end
always @(state)
begin
  case(state)
    s0: begin
               r1 <= 0;
                         y1 <= 1;
                         g1 <= 0;
                         r2 <= 0;
                         y2 <= 1;
                         g2 <= 0;
                         nextstate <= s1;
        end
         s1: begin
               r1 <= 1;
                         y1 <= 0;
                         g1 <= 0;
                         r2 <= 0;
                         y2 <= 0;
                         g2 <= 1;
                         nextstate <= s2;
        end
         s2: begin
               r1 <= 1;
                         y1 <= 0;
                         g1 <= 0;
                         r2 <= 0;
                         y2 <= 1;
                         g2 <= 0;
                         nextstate <= s3;
        end
         s3: begin
               r1 <= 0;
                         y1 <= 0;
                         g1 <= 1;
                         r2 <= 1;
                         y2 <= 0;
                         g2 <= 0;
                         nextstate <= s4;
        end
         s4: begin
               r1 <= 0;
                         y1 <= 1;
                         g1 <= 0;
                         r2 <= 1;
                         y2 <= 0;
                         g2 <= 0;
                         nextstate <= s1;
        end
         default: nextstate <= s0;
        endcase
     
end
endmodule
8
發(fā)表于 2012-12-17 20:28:18 | 只看該作者
這個(gè)是測試程序

`timescale  100ns/10ns
module testbrench;
reg rst_n, clk;
wire r1, y1, g1, r2, y2,g2;

traffic_led tl1(rst_n, clk, r1, y1, g1, r2, y2,g2);
initial
begin
  rst_n = 1;
  clk = 0;
end
always
begin
  #5 clk = ~clk;
end
initial
begin
#10 rst_n = 0;
#20 rst_n = 1;
end
endmodule
9
發(fā)表于 2012-12-27 08:31:09 | 只看該作者
學(xué)習(xí)了。。。。。。。。。。。。。。。。。。。。
10
發(fā)表于 2013-8-21 08:47:20 | 只看該作者
復(fù)制下來!!!!!!!!我自己好好學(xué)習(xí)!!!!!!!!!!!!!!!!!!!!

謝謝.jpg (8.65 KB)

謝謝.jpg
您需要登錄后才可以回帖 登錄 | 立即注冊

本版積分規(guī)則

關(guān)于我們  -  服務(wù)條款  -  使用指南  -  站點(diǎn)地圖  -  友情鏈接  -  聯(lián)系我們
電子工程網(wǎng) © 版權(quán)所有   京ICP備16069177號(hào) | 京公網(wǎng)安備11010502021702
快速回復(fù) 返回頂部 返回列表
主站蜘蛛池模板: 午夜爱爱爱爱爽爽爽网站免费| 黄色小说在线| 欧美激情性AAAAA片欧美 | 精品免费久久久久久影院| 伊人亚洲综合青草青草久热| 月夜直播视频免费观看| 日韩aa在线观看| 午夜精品久视频在线观看| 亚洲无毛片| 果冻传媒视频在线观看完整版免费| 亚洲欧美在无码片一区二区 | 果冻传媒APP免费网站在线观看| 伊人久久大香线蕉综合亚洲| 日韩欧美国产精品| 伊人成人在线| 18video性欧美19sex高清| 暖暖日本免费播放| 亚洲视频网址| 深夜视频免费看| 亚洲免费看片| 精品熟女少妇AV久久免费A片| 中文字幕无码一区二区免费| 欧美最猛性xxxxx(亚洲精品)| 色偷偷亚洲综合网亚洲| 99久久无码一区人妻A片蜜| 色欲无码国产喷水AV精品| 亚洲欧美在线不卡| 亚洲成av人片在线观看| 亚洲精品资源| 精品国产免费观看久久久| 欧美日比视频| 日本最新免费网站| 色香婷婷| 成人免费视频在线观看| 亚洲精品乱码8久久久久久日本| 一级免费a| 色综合天天综合给合国产| AV无码久久无遮挡国产麻豆| 特级毛片内射WWW无码| 亚洲精品在线观看91| 一本dvd一卡一区|