国产毛片a精品毛-国产毛片黄片-国产毛片久久国产-国产毛片久久精品-青娱乐极品在线-青娱乐精品

電子工程網

標題: 為何除法器IP內核仿真總是高阻狀態 [打印本頁]

作者: txmilan    時間: 2011-5-16 21:01
標題: 為何除法器IP內核仿真總是高阻狀態
我剛開始玩FPGA,發現不能直接使用除法,需要使用除法內核(暫時沒考慮自己別寫除法程序)。
我嘗試了IP內核中的Math Functions--dividers, 里面有2個IP核,我都試過了,仿真的時候輸出總是高阻狀態。
我使用的是Xilinx Spatan3, ISE10.1, Verilog語言,采用自帶的ISE Simulator仿真器, 使用編寫Verilog Test Fixture的方法仿真。
例如,我利用divider generator V1.0生成my_div模塊,并實例化,程序如下:

module div(clk, ce, dividend, divisor, quotient, remainder);
    input clk;
    input ce;
    input [7:0] dividend;
    input [7:0] divisor;
    output [7:0] quotient;
    output [7:0] remainder;

    my_div test(
    .clk(clk),
    .ce(ce),
    .aclr(1'b0),
    .sclr(1'b0),
    .dividend(dividend),
    .divisor(divisor),
    .quotient(quotient),
    .remainder(remainder),
    .rfd());

endmodule

然后仿真程序如下:
module test;
     // Inputs
     reg clk;
     reg ce;
     reg [7:0] dividend;
     reg [7:0] divisor;
     // Outputs
     wire [7:0] quotient;
     wire [7:0] remainder;

     // Instantiate the Unit Under Test (UUT)
    div uut (
    .clk(clk),
    .dividend(dividend),
    .divisor(divisor),
    .quotient(quotient),
    .remainder(remainder)
     );

   initial begin
   forever #10 clk = ~clk;
   end

   initial begin
   // Initialize Inputs
  clk = 0;
  ce = 0;
  dividend = 0;
  divisor = 0;
  // Wait 100 ns for global reset to finish
  #100;
  dividend = 100;
  divisor = 12;
  #10;
  ce = 1;
  #500 $stop;
      end
  // Add stimulus here
endmodule

但是進入仿真后,quotient與remainder輸出總是高電平(見圖片),試過好多次了都是這樣。
請問大家遇到過這種情況沒?
求解原因!謝謝!

1.jpg (74.32 KB)

1.jpg

作者: txmilan    時間: 2011-5-16 21:18
對了,是試過其他一些IP核的仿真,都沒有問題




歡迎光臨 電子工程網 (http://m.qingdxww.cn/) Powered by Discuz! X3.4
主站蜘蛛池模板: 日日碰狠狠添天天爽五月婷 | 天天摸夜夜摸夜夜狠狠摸 | 麻豆视频网站入口 | 在线成人中文字幕 | 韩国妈妈的朋友在线 | h小视频在线观看 | 国产第一福利精品导航 | 美女脱了内裤张开腿让女人添软件 | 在线视频第一页 | 91精品欧美 | 色爱综合网 | 国内精品久久久久久久999下 | 国内免费一区二区三区视频 | 永久网站| 全高清特级毛片 | 91大神在线精品视频一区 | 欧美性黑人十极品hd | 888午夜不卡理论久久 | 国产 欧美 日韩在线 | 亚洲欧美日韩第一页 | 欧美不卡视频在线 | 91在线视频精品 | 242sao.cam| 四虎高清成人永久免费影院 | 爱逼综合| 逃离韩国动漫免费观看 | 麻豆国产精品 | 91香焦国产线观看看免费 | 午夜国产| 六月丁香色婷婷 | 国产黄片毛片 | 亚洲欧美激情在线 | 久久香蕉国产线看观看网站 | 久久久久国产精品美女毛片 | 在线观看一区二区三区四区 | 精品国产污污免费网站 | 2022av视频 | 啦啦啦www在线观看播放高清 | 99久久免费午夜国产精品 | 四虎影剧院| 奶茶app下载网址进入下载 |